OpenPower Microwatt - OpenPower Microwatt

Микроватт
Главная Информация
Запущен2019-08-29[1]
РазработаноIBM, Фонд OpenPOWER
Архитектура и классификация
заявкаМягкое ядро
Набор инструкцийМощность ISA 3.0
ppc64le
Физические характеристики
Ядра
  • 1

В Микроватт является Открытый исходный код мягкое ядро ​​процессора первоначально написано Антоном Бланшаром в IBM, анонсированный на OpenPOWER Summit NA 2019[2] и опубликовано на GitHub в августе 2019 года. Он придерживается Мощность ISA 3.0 Набор инструкций и может работать на Платы FPGA, загрузка Linux, MicroPython и Зефир.[3][4][5][6][7][8]

Реализация

Микроватт - крошечный 64-битный прямой порядок байтов скаляр целое число ядро процессора, реализующее подмножество набора команд Power ISA 3.0. Он имеет 64 × 64 бит регистры общего назначения. Оно использует Wishbone для интерфейса памяти.[4]

Первоначальная разработка была завершена за пару месяцев и включала в себя всю функциональность набора инструкций по обработке целых чисел; минимум, чтобы сделать его совместимым, без блок управления памятью и нет блок с плавающей запятой.[4]

Более поздние дополнения к реализации включают JTAG интерфейс отладчика, инструкции делителя, инструкции 16 КБ и данные 32 КБ тайники, конвейерная обработка[4] и плавающая точка поддержка.[9]

Он разработан с использованием VHDL 2008 и среды моделирования GHDL.[3]

Chiselwatt

Родственный проект под названием Chiselwatt - еще одно открытое ядро ​​процессора, реализующее набор инструкций Power ISA 3.0, записанный в Scala на основе Chisel вместо VHDL.[10][11]

История

Это первый процессор, написанный с нуля с использованием открытого Power ISA 3.0 и выпущенный OpenPOWER Foundation в качестве эталонного дизайна.

Проект начался как демонстрация, проверка концепции и эталонная реализация для выпуска инициативы с открытым исходным кодом, касающейся Power ISA 3.0.[12] Целью Бланшара было посмотреть, сможет ли он это сделать, и как разработчик программного обеспечения взяться за проект очень низкого уровня оборудования было проблемой.[2][3]

Ссылки

Смотрите также

использованная литература

  1. ^ Уильямс, Крис (2019-08-29). «Получите бесплатные чертежи ядра процессора OpenPOWER с программным ядром здесь. Дополнительные, дополнительные - прочтите об этом все». Реестр.
  2. ^ а б OpenPOWER Summit NA 2019: основной доклад второго дня: Антон Бланшар, IBM, Джо ДеЛар, Xilinx
  3. ^ а б c «Введение в POWER OpenISA и Microwatt» - Антон Бланшар (LCA 2020)
  4. ^ а б c d «Микроархитектура микроватта» - Пол Маккеррас (LCA 2020)
  5. ^ Микроватт и поддержка POWER ISA в Renode
  6. ^ Linux на Microwatt
  7. ^ OpenPOWER открывается дальше
  8. ^ Основной доклад Дня 2, и OpenPOWER открывает двери: открытая софт-ядро без лицензионных отчислений (галлоны RISC-V)
  9. ^ Микроваттные поплавки
  10. ^ Страница Chiselwatt на Github
  11. ^ Выпущен окончательный проект соглашения Power ISA EULA
  12. ^ Набор команд POWER с открытым исходным кодом Big Blue