VHDL - VHDL

VHDL
Парадигмаодновременный, реактивный, поток данных
Впервые появился1980-е
Стабильный выпуск
IEEE 1076-2019 / 23 декабря 2019 г.; 11 месяцев назад (2019-12-23)
Печатная дисциплинасильный
Расширения имени файла.vhd
Интернет сайтIEEE VASG
Диалекты
VHDL-AMS
Под влиянием
Ада,[1] Паскаль
Источник VHDL для подписанного сумматор

VHDL (VHSIC-HDL, Язык описания оборудования высокоскоростной интегральной схемы) это язык описания оборудования используется в автоматизация проектирования электроники описать цифровой и смешанный сигнал такие системы как программируемые вентильные матрицы и интегральные схемы. VHDL также можно использовать как универсальный язык параллельного программирования.

История

В 1983 году VHDL был первоначально разработан по инициативе Министерство обороны США чтобы задокументировать поведение ASIC что компании-поставщики были включены в оборудование. Стандарт MIL-STD-454N[2] в Требовании 64 раздела 4.5.1 «Документация ASIC на VHDL» явно требуется документация «Микроэлектронные устройства» на VHDL.

Идея возможности имитировать ASIC на основе информации в этой документации была настолько привлекательной, что логические тренажеры были разработаны, которые могли читать файлы VHDL. Следующим шагом стала разработка логический синтез инструменты, которые читают VHDL и выводят определение физической реализации схемы.

Поскольку министерство обороны требует, чтобы синтаксис как можно больше основывался на Ada, чтобы избежать повторного изобретения концепций, которые уже были тщательно протестированы при разработке Ada,[нужна цитата ] VHDL в значительной степени заимствует Язык программирования Ада как в концепции, так и синтаксис.

Первоначальная версия VHDL, предназначенная для IEEE стандарт IEEE 1076-1987,[3] включал широкий спектр типов данных, включая числовые (целое число и настоящий ), логический (немного и логический ), характер и время, плюс массивы из немного называется bit_vector и из характер называется строка.

Однако проблема, не решенная в этом издании, заключалась в "многозначной логике", когда сигнал сила привода (нет, слабый или сильный) и неизвестные значения также учитываются. Это требовало Стандарт IEEE 1164, который определил 9-значные логические типы: скалярная std_logic и его векторная версия std_logic_vector. Являясь решенным подтипом своего std_Ulogic родительский тип, std_logic-типированные сигналы допускают множественное управление для моделирования шинных структур, благодаря чему функция разрешения подключений адекватно обрабатывает конфликтующие назначения.

Обновленный IEEE 1076, в 1993 году сделал синтаксис более согласованным, позволил большую гибкость в именовании, расширил характер тип разрешить ISO-8859-1 печатные символы, добавлены xnor оператор и др.[указывать ]

Незначительные изменения в стандарте (2000 и 2002) добавили идею защищенных типов (аналогично концепции класса в C ++) и удалили некоторые ограничения из правил сопоставления портов.

В дополнение к стандарту IEEE 1164 было введено несколько дочерних стандартов для расширения функциональных возможностей языка. Стандарт IEEE 1076.2 добавил улучшенную обработку реальных и сложных типов данных. Представлен стандарт IEEE 1076.3 подписанный и неподписанный типы для облегчения арифметических операций над векторами. Стандарт IEEE 1076.1 (известный как VHDL-AMS ) предусмотрены расширения схемы аналоговых и смешанных сигналов.

Некоторые другие стандарты поддерживают более широкое использование VHDL, в частности ЖИЗНЕННО ВАЖНО (Инициатива VHDL в отношении библиотек ASIC) и микроволновая печь расширения схемотехники.

В июне 2006 г. технический комитет VHDL Accellera (делегированный IEEE для работы над следующим обновлением стандарта) одобрил так называемый Draft 3.0 of VHDL-2006. Поддерживая полную совместимость со старыми версиями, этот предлагаемый стандарт предоставляет многочисленные расширения, которые упрощают написание кода VHDL и управление им. Ключевые изменения включают включение дочерних стандартов (1164, 1076.2, 1076.3) в основной стандарт 1076, расширенный набор операторов, более гибкий синтаксис кейс и генерировать операторы, включение VHPI (VHDL Procedural Interface) (интерфейс для языков C / C ++) и подмножества PSL (Язык спецификации свойств ). Эти изменения должны улучшить качество синтезируемого кода VHDL, сделать испытательные стенды более гибкими и позволить более широкое использование VHDL для описаний на уровне системы.

В феврале 2008 года Accellera утвердила VHDL 4.0, также неофициально известный как VHDL 2008, который решает более 90 проблем, обнаруженных в течение пробного периода для версии 3.0, и включает расширенные универсальные типы. В 2008 году Accellera выпустила VHDL 4.0 в IEEE для голосования по включению в IEEE 1076-2008. Стандарт VHDL IEEE 1076-2008[4] был опубликован в январе 2009 года.

Стандартизация

В IEEE Стандарт 1076 определяет VHSIC Язык описания оборудования, или VHDL. Изначально разрабатывался по контракту F33615-83-C-1003 от ВВС США награжден в 1983 году командой Intermetrics, Inc. в качестве языковых экспертов и генерального подрядчика, Инструменты Техаса в качестве экспертов по дизайну микросхем и IBM в качестве экспертов по проектированию компьютерных систем. Язык претерпел множество изменений и имеет множество связанных с ним подстандартов, которые существенно расширяют или дополняют его.

1076 был и остается вехой в разработке электронных систем.[нужна цитата ]

Редакции

  • IEEE 1076-1987[3] Первая стандартизированная редакция версии 7.2 языка от ВВС США.
  • IEEE 1076-1993[5] (также опубликовано с ISBN  1-55937-376-8). Значительные улучшения, полученные после нескольких лет обратной связи. Вероятно, наиболее широко используемая версия с самой большой поддержкой инструментов поставщика.
  • IEEE 1076-2000.[6] Небольшое изменение. Представляет использование защищенные типы.
  • IEEE 1076-2002.[7] Мелкая редакция 1076-2000 гг. Правила в отношении буферные порты расслаблены.
    • МЭК 61691-1-1: 2004.[8] Принятие IEC IEEE 1076-2002.
  • IEEE 1076-2008[9] (ранее назывался 1076-200x). Основная версия выпущена 26 января 2009 г. Помимо других изменений, этот стандарт включает базовое подмножество PSL, позволяет использовать обобщения для пакетов и подпрограмм и вводит использование внешние имена.
    • МЭК 61691-1-1: 2011.[10] Принятие IEC стандарта IEEE 1076-2008.

Связанные стандарты

  • IEEE 1076.1 VHDL аналоговый и смешанный сигнал (VHDL-AMS )
  • Стандартные пакеты IEEE 1076.1.1 VHDL-AMS (stdpkgs)
  • Математический пакет VHDL IEEE 1076.2
  • Пакет синтеза VHDL IEEE 1076.3 (vhdlsynth) (numeric_std )
  • Пакет синтеза VHDL IEEE 1076.3 - с плавающей запятой (fphdl)
  • IEEE 1076.4 Timing (Инициатива VHDL в отношении библиотек ASIC: жизненно важна)
  • IEEE 1076.6 VHDL Synthesis Interoperability (снято в 2010 году)[11]
  • IEEE 1164 Пакеты многозначной логики VHDL (std_logic_1164)

дизайн

VHDL обычно используется для написания текстовых моделей, описывающих логическую схему. Такая модель обрабатывается программой синтеза, только если она является частью логической схемы. Программа моделирования используется для тестирования логического проекта с использованием имитационных моделей для представления логических схем, которые взаимодействуют с проектом. Этот набор имитационных моделей обычно называют испытательный стенд.

Симулятор VHDL обычно симулятор, управляемый событиями.[12] Это означает, что каждая транзакция добавляется в очередь событий на определенное запланированное время. Например. если назначение сигнала должно произойти через 1 наносекунду, событие добавляется в очередь на время + 1 нс. Нулевая задержка также разрешена, но ее все же необходимо запланировать: в этих случаях Дельта-задержка , которые представляют собой бесконечно малый временной шаг. Моделирование переключается между двумя режимами: выполнение оператора, при котором оцениваются запущенные операторы, и обработка событий, при которой обрабатываются события в очереди.

VHDL имеет конструкции для обработки параллелизм присущи аппаратным конструкциям, но эти конструкции (процессы) отличаются по синтаксису от параллельных конструкций в Ada (задачи). Как и Ада, VHDL - это строго типизированный и является нечувствительный к регистру. Для прямого представления операций, которые являются общими для оборудования, существует множество функций VHDL, которых нет в Ada, например, расширенный набор логических операторов, включая nand и ни.

VHDL имеет возможности ввода и вывода файлов и может использоваться в качестве универсального языка для обработки текста, но файлы чаще используются средствами моделирования для тестирования стимулов или данных проверки. Есть несколько компиляторов VHDL, которые создают исполняемые двоичные файлы. В этом случае можно было бы использовать VHDL для записи испытательный стенд для проверки функциональности дизайна с использованием файлов на главном компьютере для определения стимулов, взаимодействия с пользователем и сравнения результатов с ожидаемыми. Однако большинство дизайнеров оставляют эту работу симулятору.

Неопытному разработчику относительно легко создать код, который успешно моделирует, но который не может быть синтезирован в реальное устройство или слишком велик для практического применения. Одна из ловушек - случайное производство прозрачные защелки скорее, чем Шлепанцы D-типа как элементы хранения.[13]

Можно спроектировать оборудование в среде VHDL IDE (для реализации FPGA, например, Xilinx ISE, Altera Quartus, Synopsys Synplify или Mentor Graphics HDL Designer) для создания RTL схема желаемой схемы. После этого сгенерированную схему можно проверить с помощью программного обеспечения для моделирования, которое показывает формы входных и выходных сигналов схемы после создания соответствующего испытательного стенда. Чтобы сгенерировать соответствующий стенд для конкретной схемы или кода VHDL, входные данные должны быть определены правильно. Например, для ввода часов требуется процесс цикла или итеративный оператор.[14]

Наконец, когда модель VHDL транслируется в «ворота и провода», которые отображаются на программируемое логическое устройство, такое как CPLD или FPGA, то это реальное оборудование, которое настраивается, а не код VHDL, «исполняемый», как если бы на некоторой форме микросхемы процессора.

Преимущества

Ключевым преимуществом VHDL, когда он используется для проектирования систем, является то, что он позволяет описывать (моделировать) и проверять (моделировать) поведение требуемой системы до того, как инструменты синтеза переведут проект в реальное оборудование (ворота и провода).

Еще одно преимущество заключается в том, что VHDL позволяет описывать параллельная система. VHDL - это язык потока данных в котором каждый оператор рассматривается для одновременного выполнения, в отличие от языков процедурных вычислений, таких как BASIC, C и ассемблерный код, где последовательность операторов выполняется последовательно по одной инструкции за раз.

Проект VHDL многоцелевой. Создаваемый один раз блок расчета может использоваться во многих других проектах. Тем не менее, многие параметры формальных и функциональных блоков могут быть настроены (параметры емкости, размер памяти, элементная база, состав блока и структура взаимосвязей).

Проект VHDL переносим. Созданный для одной элементной базы проект вычислительного устройства может быть перенесен на другую элементную базу, например СБИС с различными технологиями.

Большое преимущество VHDL по сравнению с оригиналом Verilog в том, что VHDL имеет полный система типов. Дизайнеры могут использовать систему типов для написания гораздо более структурированного кода (особенно путем объявления запись типы).[15]

Примеры дизайна

В VHDL дизайн состоит как минимум из организация который описывает интерфейс и архитектура который содержит фактическую реализацию. Кроме того, большинство проектов импортируют библиотечные модули. Некоторые проекты также содержат несколько архитектур и конфигурации.

Просто И ворота в VHDL будет выглядеть примерно так

- (это комментарий VHDL)/*    этот является а блокировать комментарий (VHDL-2008)*/- импортировать std_logic из библиотеки IEEEбиблиотека IEEE;использовать IEEE.std_logic_1164.все;- это сущностьорганизация ANDGATE является  порт (     I1 : в std_logic;    I2 : в std_logic;    О  : вне std_logic);конец организация ANDGATE;- это архитектураархитектура RTL из ANDGATE являетсяначать  О <= I1 и I2;конец архитектура RTL;

(Заметить, что RTL означает Зарегистрировать уровень передачи design.) Хотя приведенный выше пример может показаться многословным новичкам в области HDL, многие части являются необязательными или должны быть написаны только один раз. Обычно простые функции, подобные этой, являются частью более крупного поведенческого модуля, вместо того, чтобы иметь отдельный модуль для чего-то столь простого. Кроме того, использование таких элементов, как std_logic Поначалу шрифт может показаться излишним. Можно было легко использовать встроенный немного введите и избегайте импорта библиотеки вначале. Однако, используя форму многозначная логика в частности 9-значная логика (U,Икс,0,1,Z,W,ЧАС,L,-) вместо простых битов (0,1) предлагает разработчику очень мощный инструмент моделирования и отладки, который в настоящее время не существует ни в одном другом HDL.

В следующих примерах вы увидите, что код VHDL может быть написан в очень компактной форме. Однако более опытные дизайнеры обычно избегают этих компактных форм и используют более подробный стиль кодирования для удобства чтения и поддержки. Еще одним преимуществом стиля подробного кодирования является меньшее количество ресурсов, используемых при программировании для программируемого логического устройства, такого как CPLD.[16]

Синтезируемые конструкции и шаблоны VHDL

VHDL часто используется для двух разных целей: моделирования электронных схем и синтеза таких конструкций. Синтез - это процесс, в котором VHDL компилируется и преобразуется в технологию реализации, такую ​​как FPGA или ASIC. Многие поставщики FPGA имеют бесплатные (или недорогие) инструменты для синтеза VHDL для использования со своими чипами, тогда как инструменты ASIC часто очень дороги.

Не все конструкции в VHDL подходят для синтеза. Например, большинство конструкций, которые явно имеют дело со временем, такие как ждать 10 нс; не могут быть синтезированы, несмотря на то, что пригодны для моделирования. Хотя разные инструменты синтеза имеют разные возможности, существует общий синтезируемое подмножество VHDL, который определяет, какие языковые конструкции и идиомы отображаются в общее оборудование для многих инструментов синтеза. IEEE 1076.6 определяет подмножество языка, которое считается официальным подмножеством синтеза. Обычно считается «лучшей практикой» писать очень идиоматический код для синтеза, поскольку результаты могут быть неверными или неоптимальными для нестандартных конструкций.

Шаблон MUX

В мультиплексор, или «MUX», как его обычно называют, - это простая конструкция, очень часто встречающаяся при проектировании оборудования. Пример ниже демонстрирует простой мультиплексор два к одному с входами А и B, селектор S и вывод Икс. Обратите внимание, что есть много других способов выразить тот же MUX в VHDL.[17]

Икс <= А когда S = '1' еще B;

Шаблон защелки

А прозрачная защелка По сути, это один бит памяти, который обновляется при подаче сигнала разрешения. Опять же, есть много других способов выразить это в VHDL.

- шаблон защелки 1:Q <= D когда включить = '1' еще Q;- шаблон защелки 2:обработать(все)начать    Q <= D когда(включить);конец обработать;

Шлепанцы D-типа

D-тип резкий поворот производит выборку входящего сигнала по нарастающему (или падающему) фронту тактового сигнала. В этом примере есть асинхронный сброс с активным высоким уровнем и выборки по фронту нарастания тактового сигнала.

DFF : обработать(все) являетсяначать  если RST тогда    Q <= '0';  Эльсиф поднимающийся край(CLK) тогда    Q <= D;  конец если;конец обработать DFF;

Другой распространенный способ записи поведения, запускаемого фронтом, в VHDL - использование атрибута сигнала «событие». Между именем сигнала и именем атрибута должен быть написан одиночный апостроф.

DFF : обработать(RST, CLK) являетсяначать  если RST тогда    Q <= '0';  Эльсиф CLK'мероприятие и CLK = '1' тогда    Q <= D;  конец если;конец обработать DFF;

VHDL также поддается «однострочникам», таким как

DFF : Q <= '0' когда RST = '1' еще D когда поднимающийся край(clk);

или

DFF : обработать(все) является начать  если поднимающийся край(CLK) тогда    Q  <= D;    2 квартал <= Q1;  конец если;  если RST тогда    Q <= '0';  конец если;конец обработать DFF;

Что может быть полезно, если не все сигналы (регистры), управляемые этим процессом, должны быть сброшены.

Пример: счетчик

В следующем примере показан восходящий счетчик с асинхронным сбросом, параллельной загрузкой и настраиваемой шириной. Он демонстрирует использование типа «беззнаковый», преобразования типов между «беззнаковый» и «std_logic_vector» и VHDL. дженерики. Дженерики очень близки к аргументам или шаблонам в других традиционных языках программирования, таких как C ++.

библиотека IEEE;использовать IEEE.std_logic_1164.все;использовать IEEE.numeric_std.все;    - для беззнакового типаорганизация СЧЕТЧИК является  общий (    ШИРИНА : в естественный := 32);  порт (    RST   : в std_logic;    CLK   : в std_logic;    ЗАГРУЗИТЬ  : в std_logic;    ДАННЫЕ  : в std_logic_vector(ШИРИНА-1 вниз 0);    Q     : вне std_logic_vector(ШИРИНА-1 вниз 0));конец организация СЧЕТЧИК;архитектура RTL из СЧЕТЧИК являетсяначать  обработать(все) является  начать    если RST тогда      Q <= (другие => '0');    Эльсиф поднимающийся край(CLK) тогда      если ЗАГРУЗИТЬ тогда        Q <= ДАННЫЕ;      еще        Q <= std_logic_vector(беззнаковый(Q) + 1); - Добавление без знака, конвертируется обратно в std_logic_vector      конец если;    конец если;  конец обработать;конец архитектура RTL;

Более сложные счетчики могут добавлять операторы if / then / else в восходящий край (CLK) elsif для добавления других функций, таких как включение счетчика, остановка или переход на определенное значение счетчика, генерация выходных сигналов, таких как сигналы счетчика клемм, и т. д. Необходимо соблюдать осторожность при упорядочивании и вложении таких элементов управления, если они используются вместе, чтобы произвести желаемые приоритеты и минимизировать количество необходимых логических уровней.

Конструкции только для моделирования

Большая часть VHDL не может быть переведена на аппаратное обеспечение. Это подмножество известно как несинтезируемое или предназначенное только для моделирования подмножество VHDL и может использоваться только для прототипирования, моделирования и отладки. Например, следующий код сгенерирует часы с частотой 50 МГц. Его можно, например, использовать для управления тактовым входом в проекте во время моделирования. Однако это конструкция только для моделирования и не может быть реализована аппаратно. В реальном оборудовании часы генерируются извне; его можно уменьшить внутри с помощью логики пользователя или специального оборудования.

обработатьначать  CLK <= '1'; Подождите для 10 NS;  CLK <= '0'; Подождите для 10 NS;конец обработать;

Конструкции, предназначенные только для моделирования, могут использоваться для построения сигналов сложной формы за очень короткое время. Такая форма сигнала может использоваться, например, в качестве тестовых векторов для сложной конструкции или как прототип некоторой логики синтезатора, которая будет реализована в будущем.

обработатьначать  Подождите до тех пор НАЧНИТЕ = '1'; - подождите, пока СТАРТ не станет высоким    для я в 1 к 10 петля - затем подождите несколько периодов времени ...    Подождите до тех пор поднимающийся край(CLK);  конец петля;  для я в 1 к 10 петля 	- записывать числа от 1 до 10 в ДАННЫЕ, по 1 каждый цикл    ДАННЫЕ <= to_unsigned(я, 8);    Подождите до тех пор поднимающийся край(CLK);  конец петля;  - дождитесь изменения вывода  Подождите на РЕЗУЛЬТАТ;    - теперь поднять ACK на период времени  ACK <= '1';  Подождите до тех пор поднимающийся край(CLK);  ACK <= '0';  -- и так далее...конец обработать;

Симуляторы VHDL

Коммерческий:

Другой:

  • EDA Детская площадка - Бесплатная IDE VHDL на основе веб-браузера (использует Synopsys VCS, Cadence Incisive, Aldec Ривьера-ПРО и GHDL для моделирования VHDL)
  • GHDL является Открытый исходный код[21] Компилятор VHDL, который может выполнять программы VHDL. GHDL на GitHub
  • ботинок от freerangefactory.org - это компилятор и симулятор VHDL, основанный на GHDL и GTKWave
  • VHDL Simili от Symphony EDA - это бесплатный коммерческий симулятор VHDL.
  • nvc Ник Гассон - компилятор VHDL с открытым исходным кодом[22]
  • freehdl Эдвин Нароска был симулятором VHDL с открытым исходным кодом, заброшенным с 2001 года.[23]

Смотрите также

использованная литература

  1. ^ Дэвид Р. Коэльо (30 июня 1989 г.). Справочник VHDL. Springer Science & Business Media. ISBN  978-0-7923-9031-2.
  2. ^ Министерство обороны (1992). Военный Стандарт, Стандарт общие требования к электронному оборудованию. Получено 15 ноября, 2017.
  3. ^ а б 1076-1987 - Справочное руководство по стандартному языку VHDL IEEE. 1988. Дои:10.1109 / IEEESTD.1988.122645. ISBN  0-7381-4324-3.
  4. ^ 1076-2008 - Справочное руководство по стандартному языку VHDL IEEE. 2009. Дои:10.1109 / IEEESTD.2009.4772740. ISBN  978-0-7381-6854-8.
  5. ^ 1076-1993 - Справочное руководство по стандартному языку VHDL IEEE. 1994. Дои:10.1109 / IEEESTD.1994.121433. ISBN  0-7381-0986-X.
  6. ^ 1076-2000 - Справочное руководство по стандартному языку VHDL IEEE. 2000. Дои:10.1109 / IEEESTD.2000.92297. ISBN  0-7381-1948-2.
  7. ^ 1076-2002 - Справочное руководство по стандартному языку VHDL IEEE. 2002. Дои:10.1109 / IEEESTD.2002.93614. ISBN  0-7381-3247-0.
  8. ^ IEC 61691-1-1 Первое издание 2004-10; IEEE 1076 - Поведенческие языки IEC / IEEE - Часть 1-1: Справочное руководство по языку VHDL (принятие стандарта IEEE Std 1076-2002). 2004. Дои:10.1109 / IEEESTD.2004.95752. ISBN  2-8318-7691-5.
  9. ^ 1076c-2007 - Справочное руководство по стандартному языку VHDL IEEE, поправка 1: прикладной интерфейс процедурного языка. 2007. Дои:10.1109 / IEEESTD.2007.4299594. ISBN  978-0-7381-5523-4.
  10. ^ 61691-1-1-2011 - Поведенческие языки - Часть 1-1: Справочное руководство по языку VHDL. 2011. Дои:10.1109 / IEEESTD.2011.5967868. ISBN  978-0-7381-6605-6.
  11. ^ https://standards.ieee.org/standard/1076_6-2004.html
  12. ^ «ELEC3017 - Моделирование» (PDF). Саутгемптонский университет. Получено 23 февраля 2017.
  13. ^ «Зачем мне нужны прозрачные защелки?». Дулос. Получено 22 декабря 2012.
  14. ^ «Генерация часов». Дулос. Получено 22 декабря 2012.
  15. ^ Иржи Гайслер. «Метод структурированного проектирования VHDL» (PDF). Получено 15 ноября 2017.
  16. ^ МакКоннелл, Стив (2004). Код завершен (2-е изд.). Pearson Education. С. 319–320.
  17. ^ «Логические операторы VHDL и назначения сигналов для комбинаторной логики». ПЛИС. Получено 2020-08-23.
  18. ^ «VCS: самое эффективное решение для моделирования в отрасли». synopsis.com.
  19. ^ Айчинена, Пегги (9 мая 2013 г.). «Канаи Гош: необычное усилие, которое меняет разговор». EDACafe.
  20. ^ "Часто задаваемые вопросы". edautils.com. В архиве с оригинала 14 ноября 2017 года.
  21. ^ «Авторские права | Лицензии». Документация GHDL - документация GHDL 0.36-dev. readthedocs.io.
  22. ^ Гассон, Ник (5 ноября 2011 г.). «Написание компилятора VHDL».
  23. ^ "freehdl: By Thread". Архивировано из оригинал 10 февраля 2002 г.
Заметки

дальнейшее чтение

  • Питер Дж. Эшенден, "Руководство разработчика по VHDL, третье издание (системы на кремнии)", 2008 г., ISBN  0-1208-8785-1. (Справочник по VHDL, написанный одним из ведущих разработчиков языка)
  • Брайан Мили, Фабрицио Тапперо (февраль 2012 г.). Свободный диапазон VHDL. Простое руководство по написанию мощного кода VHDL для ваших цифровых реализаций. freerangefactory.org.
  • Йохан Сандстрем (октябрь 1995 г.). «Синтаксическое и семантическое сравнение Verilog с VHDL». Интегрированная система проектирования. EE Times. - Sandstrom представляет таблицу, связывающую конструкции VHDL с Verilog конструкции.
  • Qualis Design Corporation (20 июля 2000 г.). "Краткая справочная карта VHDL" (PDF). 1.1. Qualis Design Corporation. Архивировано из оригинал (PDF) на 2003-12-10. Цитировать журнал требует | журнал = (Помогите)
  • Qualis Design Corporation (20 июля 2000 г.). "Краткая справочная карта на 1164 упаковки" (PDF). 1.0. Qualis Design Corporation. Архивировано из оригинал (PDF) 14 марта 2016 г. Цитировать журнал требует | журнал = (Помогите)
  • Яник Бержерон, «Написание тестовых стендов: функциональная проверка моделей HDL», 2000 г., ISBN  0-7923-7766-4. (Библия HDL Testbench)

внешние ссылки