PicoBlaze - PicoBlaze

PicoBlaze это обозначение серии из трех свободных мягкий процессор ядра из Xilinx для использования в их FPGA и CPLD товары. Они основаны на 8-битном RISC архитектура и может достигать скорости до 100 MIPS на Виртекс 4 FPGA семья. В процессоры имеют 8-битный адрес и порт данных для доступа к широкому спектру периферийных устройств. Лицензия ядер позволяет их бесплатное использование, хотя и только на устройствах Xilinx, и они поставляются с Инструменты разработки. Инструменты сторонних производителей доступны в Mediatronix и других компаниях. Также PacoBlaze существует реализация ядер, не зависящая от поведения и устройства, которая выпускается под Лицензия BSD. PauloBlaze - это реализация VHDL с открытым исходным кодом под Лицензия Apache.

Дизайн PicoBlaze первоначально назывался KCPSM, что означает «Программируемый конечный автомат с постоянным (K) кодированием» (ранее «PSM Кена Чепмена»). Кен Чапман был разработчиком систем Xilinx, который разработал и внедрил микроконтроллер.[1]

Создание

При создании экземпляра микроконтроллера PicoBlaze в VHDL необходимо использовать соответствующее имя компонента KCPSM.[2] Например, для процессора PicoBlaze3:

компонент тыс. куб. м3 является  порт (    адрес       : из std_logic_vector(9 вниз 0);    инструкция   : в std_logic_vector(17 вниз 0);    port_id       : из std_logic_vector(7 вниз 0);    write_strobe  : из std_logic;    out_port      : из std_logic_vector(7 вниз 0);    read_strobe   : из std_logic;    in_port       : в std_logic_vector(7 вниз 0);    прерывать     : в std_logic;    interrupt_ack : из std_logic;    перезагрузить         : в std_logic;    clk           : в std_logic    );конец компонент;

Спектакль

Все инструкции выполняются за два тактовых цикла, что делает производительность основного набора команд детерминированной. Время реакции на прерывание составляет не более пяти тактов. В целях оптимизации ресурсов два ядра PicoBlaze могут совместно использовать один и тот же PROM 1k x 18 инструкций, используя преимущества двухпортовой реализации этого блока на ПЛИС Xilinx.

Архитектурные примечания

Xilinx утверждает, что для PicoBlaze требуется всего 96 частей FPGA. Небольшой размер реализации частично достигается за счет довольно жесткого разделения стороны последовательности команд (счетчик программ, стек вызовов-возврата, подразумеваемый указатель стека и бит разрешения прерывания) от стороны выполнения (ALU, файл регистров, оперативная память RAM, Z / C статусные биты). Единственная информация, которая течет от вычислительной стороны к стороне упорядочивания, - это ноль и биты состояния ALU при проверке условными инструкциями JUMP и CALL. Невозможно реализовать вычисленные переходы или указатели функций. Единственная информация, которая течет от стороны упорядочивания к стороне выполнения, - это поля операндов: регистр назначения (4 бита), код операции ALU (шесть битов), необязательный регистр источника (4 бита), необязательное 8-битное непосредственное значение / адрес порта, необязательный 6-битный адрес блокнота. Не существует механизма для проверки значения указателя стека, содержимого стека из 31 элемента, бита разрешения прерывания или содержимого памяти программ.

Сторона упорядочивания команд не содержит сумматора, поэтому относительные переходы и независимый от позиции код невозможны. Все адреса перехода и вызова являются абсолютными.

PicoBlaze плохо подходит для программирования на компилируемых языках, таких как C.[3] Помимо отсутствия поддержки указателей на функции[сомнительный ], нет никаких инструкций или режимов адресации, чтобы ускорить соглашение о вызовах на основе стека. Для PicoBlaze требуется две инструкции для реализации PUSH или POP и две инструкции для реализации относительной адресации от указателя стека, назначенного программным обеспечением. PicoBlaze лучше подходит для соглашений о вызовах на основе регистров, оптимизированных вручную. Это не исключает использования Четвертый -подобный стек данных, и на самом деле PicoBlaze хорошо подходит для этого подхода, если 64-байтовая оперативная память предлагает достаточно места.

Смотрите также

внешняя ссылка

Процессор и производные:

Инструменты:

Рекомендации

Примечания
  1. ^ «8-битный микроконтроллер PicoBlaze» (PDF). Xilinx, Inc. Получено 2007-06-25.
  2. ^ «Руководство пользователя 8-битного встроенного микроконтроллера PicoBlaze» (PDF). Xilinx, Inc. Архивировано с оригинал (PDF) на 2007-09-27. Получено 2007-06-25.
  3. ^ Понг П. Чу (2008). Прототипирование FPGA с помощью VHDL Примеры: версия Xilinx Spartan-3. Wiley & Sons. ISBN  978-0-470-18531-5.
Библиография