Икар Верилог - Icarus Verilog

Икар Верилог
Icarus Verilog logo2.png
Разработчики)Стивен Уильямс
Стабильный выпуск
10.3 / 15 августа 2019; 15 месяцев назад (2019-08-15)
Репозиторий Отредактируйте это в Викиданных
Написано вC ++
Операционная системаLinux, FreeBSD, OpenSolaris, AIX, Майкрософт Виндоус, и Mac OS X
ПлатформаКроссплатформенность
Доступно ванглийский
ТипVerilog Симулятор
ЛицензияСтандартная общественная лицензия GNU
Интернет сайтhttp://iverilog.icarus.com/

Икар Верилог это реализация Verilog язык описания оборудования. Он поддерживает версии стандарта 1995, 2001 и 2005 годов, части SystemVerilog, и некоторые расширения.

Icarus Verilog доступен для Linux, FreeBSD, OpenSolaris, AIX, Майкрософт Виндоус, и Mac OS X. Выпущено под Стандартная общественная лицензия GNU, Icarus Verilog - это бесплатно программное обеспечение.

Начиная с версии 0.9, Icarus состоит из компилятора Verilog (включая препроцессор Verilog) с поддержкой подключаемых модулей и виртуальной машины, имитирующей конструкцию. В выпуске v10.0, помимо общих улучшений и исправлений ошибок, добавлена ​​предварительная поддержка VHDL.

История

Даже автор не очень хорошо помнит, когда проект был впервые запущен, но CVS записи относятся к 1998 году. Были выпуски от 0.2 до текущего стабильного выпуска 10.0.

Разработкой Icarus Verilog в основном занимается единственный постоянный автор, Стивен Уильямс. Некоторые нетривиальные части были добавлены как принятые исправления.

внешняя ссылка